//----------------------------------------------------------------------------- // Title : 何かのコントローラ // Project : 例題 //----------------------------------------------------------------------------- // File : top.v // Author : hoge@foo // Created : 03.12.2005 // Last modified : 23.12.2005 //----------------------------------------------------------------------------- // Description : // //----------------------------------------------------------------------------- // Copyright (c) 2005 by Ryusai This model is the confidential and // proprietary property of Ryusai and the possession or use of this // file requires a written license from Ryusai. //----------------------------------------------------------------------------- // Modification history : // 03.12.2005 : created //----------------------------------------------------------------------------- module top (/*AUTOARG*/ // Outputs wen, oen, PReady, // Inputs reset, clk, PStrobe, PRW, PAddress ); /*AUTOINPUT*/ // Beginning of automatic inputs (from unused autoinst inputs) input [15:0] PAddress; // To DECODER of decoder.v input PRW; // To STATE_MACHINE of state_machine.v input PStrobe; // To STATE_MACHINE of state_machine.v input clk; // To STATE_MACHINE of state_machine.v input reset; // To STATE_MACHINE of state_machine.v // End of automatics //*AUTOOUTPUT*/ // Beginning of automatic outputs (from unused autoinst outputs) output PReady; // From STATE_MACHINE of state_machine.v output oen; // From STATE_MACHINE of state_machine.v output wen; // From STATE_MACHINE of state_machine.v // End of automatics /*AUTOWIRE*/ // Beginning of automatic wires (for undeclared instantiated-module outputs) wire cen; // From DECODER of decoder.v // End of automatics state_machine STATE_MACHINE(/*AUTOINST*/ // Outputs .PReady (PReady), .oen (oen), .wen (wen), // Inputs .clk (clk), .reset (reset), .PStrobe (PStrobe), .PRW (PRW), .cen (cen)); decoder DECODER(/*AUTOINST*/ // Outputs .cen (cen), // Inputs .PAddress (PAddress[15:0])); endmodule // top